I2C, SPI, UART (RS232), VGA in VHDL for FPGA interfacing

I2C, SPI, UART (RS232), VGA communication protocols and VHDL Implementations

Ratings 3.75 / 5.00
I2C, SPI, UART (RS232), VGA in VHDL for FPGA interfacing

What You Will Learn!

  • Serial Communication and Display Protocols snd Their VHDL Implementations
  • Inter Integrated Circuit (I2C) Serial Communication and its VHDL Implementations
  • SPI Communication and its VHDL Implementation.
  • UART (RS232) Communication and its VHDL implementation.
  • VGA Display Protocol and its VHDL Implementation

Description

In this course we first provide fundamental information about I2C, SPI, UART (RS232), VGA serial communication protocols, then VHDL implementaiton of these protocols are explained in details. Timing waveforms of the protocols are explained by examples in a clear manner. The student who wants to take this course should know VHDL programming and he/she should have an idea about timed state machines in VHDL. 

Who Should Attend!

  • Hardware Engineers Interested in VHDL Implementation of Serial Communication Protocols Such as I2C Serial Communicaiton, SPI Serial Communication, UART (RS232) Serial Communication

TAKE THIS COURSE

Tags

  • VHDL

Subscribers

536

Lectures

22

TAKE THIS COURSE



Related Courses